预览加载中,请您耐心等待几秒...
1/10
2/10
3/10
4/10
5/10
6/10
7/10
8/10
9/10
10/10

亲,该文档总共25页,到这已经超出免费预览范围,如果喜欢就直接下载吧~

如果您无法下载资料,请参考说明:

1、部分资料下载需要金币,请确保您的账户上有足够的金币

2、已购买过的文档,再次下载不重复扣费

3、资料包下载后请先用软件解压,在使用对应软件打开

(19)中华人民共和国国家知识产权局(12)发明专利申请(10)申请公布号CN113673191A(43)申请公布日2021.11.19(21)申请号202110952814.5(22)申请日2021.08.19(71)申请人深圳华大九天科技有限公司地址518000广东省深圳市福田区福保街道福保社区桃花路与槟榔道交汇处西北深九科技创业园5号楼1001(72)发明人刘毅傅静静陈彬董森华(74)专利代理机构北京成创同维知识产权代理有限公司11449代理人蔡纯张靖琳(51)Int.Cl.G06F30/3315(2020.01)权利要求书2页说明书11页附图11页(54)发明名称时序修正方法及装置、计算装置和存储介质(57)摘要本发明公开了一种应用于集成电路的时序修正方法及装置、计算装置和存储介质。根据本发明实施例的时序修正方法,集成电路包括多个普通逻辑单元和多个备用修正单元;在集成电路中确定时序出现问题的时序路径以及时序路径中不满足时序要求的第一普通逻辑单元;在第一普通逻辑单元的周边设置搜索范围,并在搜索范围内确定至少一个可用于时序修正的备用修正单元;逐一测试并获得至少一个可用于时序修正的备用修正单元在集成电路中使用的时序结果;根据时序结果确定用于集成电路时序修正的目标备用修正单元,目标备用修正单元为至少一个可用于时序修正的备用修正单元中的至少一个。根据本发明实施例的时序修正方法等,保证了芯片设计的正确性。CN113673191ACN113673191A权利要求书1/2页1.一种应用于集成电路的时序修正方法,其特征在于,所述集成电路包括多个普通逻辑单元和多个备用修正单元,所述时序修正方法包括:在所述集成电路中确定时序出现问题的时序路径以及所述时序路径中不满足时序要求的第一普通逻辑单元;在所述第一普通逻辑单元的周边设置搜索范围,并在所述搜索范围内确定至少一个可用于时序修正的备用修正单元;逐一测试并获得所述至少一个可用于时序修正的备用修正单元在所述集成电路中使用的时序结果;根据所述时序结果确定用于集成电路时序修正的目标备用修正单元,所述目标备用修正单元为所述至少一个可用于时序修正的备用修正单元中的至少一个。2.根据权利要求1所述的时序修正方法,其特征在于,所述时序修正方法还包括:获取所述第一普通逻辑单元的单元类型和物理位置。3.根据权利要求1所述的时序修正方法,其特征在于,在所述第一普通逻辑单元的周边设置搜索范围包括:设置距离参数;以所述第一普通逻辑单元为中心,确定所述搜索范围在所述第一普通逻辑单元和所述设置距离参数的曼哈顿范围之内。4.根据权利要求1所述的时序修正方法,其特征在于,所述时序修正方法还包括:在所述搜索范围内寻找备选单元,根据所述备选单元确定目标备选单元;根据所述目标备选单元,修改线网连线。5.根据权利要求1所述的时序修正方法,其特征在于,所述时序修正方法还包括:在所述搜索范围内寻找填充单元,所述填充单元的宽度大于缓冲单元的宽度;根据所述缓冲单元确定目标缓冲单元;根据所述目标缓冲单元,修改线网连线,其中,所述时序修正方法还包括,回填至少一个填充单元。6.根据权利要求1所述的时序修正方法,其特征在于,在所述第一普通逻辑单元的周边设置搜索范围包括:设置距离参数;遍历时序违反路径上的组合逻辑单元;确定所述搜索范围在所述组合逻辑单元和所述设置距离参数的曼哈顿范围之内。7.根据权利要求1所述的时序修正方法,其特征在于,所述时序修正方法还包括:在所述搜索范围内寻找与所述第一普通逻辑单元功能一致的备选单元,根据所述备选单元确定目标备选单元;根据所述目标备选单元,修改线网连线。8.根据权利要求1所述的时序修正方法,其特征在于,所述时序修正方法还包括:在所述搜索范围内寻找填充单元,所述填充单元的宽度大于功能单元的宽度;寻找与所述第一普通逻辑单元功能一致的所述功能单元;删除原有的填充单元,并根据所述功能单元确定目标功能单元;根据所述目标功能单元,修改线网连线,2CN113673191A权利要求书2/2页其中,所述时序修正方法还包括,回填至少一个填充单元。9.一种应用于集成电路的时序修正装置,其特征在于,所述集成电路包括多个普通逻辑单元和多个备用修正单元,所述时序修正装置包括:违反确定单元,用于在所述集成电路中确定时序出现问题的时序路径以及所述时序路径中不满足时序要求的第一普通逻辑单元;搜索单元,用于在所述第一普通逻辑单元的周边设置搜索范围,并在所述搜索范围内确定至少一个可用于时序修正的备用修正单元;测试单元,用于逐一测试并获得所述至少一个可用于时序修正的备用修正单元在所述集成电路中使用的时序结果;目标确定单元,用于根据所述时序结果确定用于集成电路时序修正的目标备用修正单元,所述目标备用修正单元为所述至少一个可用于时序修正的备用修正单元中的至少